医疗电子技术网|技术阅读
登录|注册

您现在的位置是:医疗电子技术网 > 资源下载 > VHDL/FPGA/Verilog > 移位乘法器的输入为两个4位操作数a和b

移位乘法器的输入为两个4位操作数a和b

  • 资源大小:128 K
  • 上传时间: 2024-01-09
  • 上传用户:havin
  • 资源积分:2 下载积分
  • 标      签: 移位 乘法器 位操作 输入

资 源 简 介

移位乘法器的输入为两个4位操作数a和b,启动乘法器由stb控制,clk信号提供系统定时。乘法器的结果为8位信号result,乘法结束后置信号done为1. 乘法算法采用原码移位乘法,即对两个操作数进行逐位的移位相加,迭代4次后输出结果。具体算法: 1. 被乘数和乘数的高位补0,扩展成8位。 2. 乘法依次向右移位,并检查其最低位,如果为1,则将被乘数和部分和相加,然后将被乘数向左移位;如果为0,则仅仅将被乘数向左移位。移位时,被乘数的低端和乘数的高端均移入0. 3. 当乘数变成全0后,乘法结束。

相 关 资 源