医疗电子技术网|技术阅读
登录|注册

您现在的位置是:医疗电子技术网 > 资源下载 > VHDL/FPGA/Verilog > 37个经典的VHDL程序。有比较器、七段译码器、状态机等。

37个经典的VHDL程序。有比较器、七段译码器、状态机等。

  • 资源大小:40 K
  • 上传时间: 2023-12-05
  • 上传用户:yuljjian
  • 资源积分:2 下载积分
  • 标      签: VHDL 程序 比较器 状态

资 源 简 介

37个经典的VHDL程序。有比较器、七段译码器、状态机等。

相 关 资 源