医疗电子技术网|技术阅读
登录|注册

您现在的位置是:医疗电子技术网 > 资源下载 > VHDL/FPGA/Verilog > 介绍使用VHDL设计一个简单cpu

介绍使用VHDL设计一个简单cpu

  • 资源大小:79 K
  • 上传时间: 2023-11-04
  • 上传用户:toollow
  • 资源积分:2 下载积分
  • 标      签: VHDL cpu

资 源 简 介

介绍使用VHDL设计一个简单cpu,文档包含说明文档,对vhdl的学习非常有用。

相 关 资 源