医疗电子技术网|技术阅读
登录|注册

您现在的位置是:医疗电子技术网 > 资源下载 > VHDL/FPGA/Verilog > 用VHDL语言将二进制数据转换成十进制数据

用VHDL语言将二进制数据转换成十进制数据

  • 资源大小:2 K
  • 上传时间: 2024-07-02
  • 上传用户:1005196332
  • 资源积分:2 下载积分
  • 标      签: VHDL 数据 语言 二进制

资 源 简 介

用VHDL语言将二进制数据转换成十进制数据,并将十进制的每一个位分离出来单独存放。使用状态机实现,程序简单,仿真效果很理想,占用可编程器件的资源较少。

相 关 资 源