医疗电子技术网|技术阅读
登录|注册

您现在的位置是:医疗电子技术网 > 资源下载 > VHDL/FPGA/Verilog > 本例为TLC7524接口电路VHDL原程序

本例为TLC7524接口电路VHDL原程序

  • 资源大小:5 K
  • 上传时间: 2024-04-05
  • 上传用户:zxh122
  • 资源积分:2 下载积分
  • 标      签: 7524 VHDL TLC 接口电路

资 源 简 介

本例为TLC7524接口电路VHDL原程序

相 关 资 源